FreeMarkerとは

FreeMarkerとは

Javaのテンプレートエンジン。 FreeMarker Template Languageで書かれたテンプレートファイル(.ftl)と、Javaオブジェクトを合体させることで、動的にHTMLのソースコードを生成することができる。

<# hoge>タグ = 制御

テンプレート + Javaのコードからデータの挿入でHTMLファイルを作成する。


ex) <#list>
  配列などの繰り返し処理を行う。


参考:Freemarkerのリファレンスの使い方でよく使用するものを日本語でまとめ | iwb.jp




テンプレートエンジンとは

データとテンプレート(雛形)を合体させて、文字列を作る仕組みのこと。


役割

  • データモデルを受け取る

  • ソーステンプレートに書かれたコードを処理

  • 処理結果をテキストファイルなどに出力する。